GHDL
Eine Alternative zu Xilinx und ModelSim.
Da der Xilinx Compiler sehr groß ist (>10GByte) verwende ich hier den GHDL Compiler.
$ ghdl -a adder_tb.vhd … analyze / compile
$ ghdl -a *.vhdl
$ ghdl -r adder_tb --vcd=adder.vcd … for graphical output
$ gtkwave adder.vcd
bei Fehlermeldungen versuchen:
$ghdl -a --ieee=synopsys -fexplicit adder_tb.vhd
$ghdl -r --ieee=synopsys -fexplicit adder_tb --stop-time=500ns --vcd=vcd.out
GTKWAVE
Nach dem Aufruf ist das Anzeigfenster leer! Es müssen zuerst die Signale in das "Signals" Fenster gezogen werden und anschließend "Zoom fit".
Anschließend wird diese Konfiguration gespeichert: //Datei/Write Save File.
Mit dem Aufruf >gtkwave savefile.sav kann diese Konfiguration für die nächsten Simulationen wiederhergestellt werden.